Sale! View larger

IEC 62530 Ed. 2.0 en:2011

New product

IEC 62530 Ed. 2.0 en:2011 SystemVerilog - Unified Hardware Design, Specification, and Verification Language

standard by International Electrotechnical Commission, 05/19/2011

More details

$176.30

-57%

$410.00

More info

Full Description

IEC 62530:2011(E) Provides a unified Hardware Design, Specification, and Verification language. IEEE Std 1364TM-2005 Verilog is a design language. Both standards were approved by the IEEE-SASB in November 2005. This standard creates new revisions of the IEEE 1364 Verilog and IEEE 1800 SystemVerilog standards, which include errata fixes and resolutions, enhancements, enhanced assertion language, merger of Verilog Language Reference Manual (LRM) and SystemVerilog 1800 LRM into a single LRM, integration with Verilog-AMS, and ensures interoperability with other languages such as SystemC and VHDL. This publication has the status of a double logo IEEE/IEC standard.

Product Details

Edition: 2.0 Published: 05/19/2011 Number of Pages: 1251File Size: 1 file , 8.5 MB